http://rdf.ncbi.nlm.nih.gov/pubchem/patent/KR-20220016002-A

Outgoing Links

Predicate Object
assignee http://rdf.ncbi.nlm.nih.gov/pubchem/patentassignee/MD5_c10320b05f723976a898536f012b60c2
http://rdf.ncbi.nlm.nih.gov/pubchem/patentassignee/MD5_d80f1040809503e54509c871ba828f75
classificationCPCInventive http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-32136
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/C23C16-4584
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-31116
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-31122
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-31055
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01J37-32779
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-67069
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01J37-32899
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-67253
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01J37-32513
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/H01L21-67248
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/C23C16-04
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/C23C16-45551
http://rdf.ncbi.nlm.nih.gov/pubchem/patentcpc/C23C16-45553
classificationIPCInventive http://rdf.ncbi.nlm.nih.gov/pubchem/patentipc/H01L21-3213
http://rdf.ncbi.nlm.nih.gov/pubchem/patentipc/H01L21-311
http://rdf.ncbi.nlm.nih.gov/pubchem/patentipc/H01L21-67
filingDate 2021-08-02-04:00^^<http://www.w3.org/2001/XMLSchema#date>
inventor http://rdf.ncbi.nlm.nih.gov/pubchem/patentinventor/MD5_d5819db09279f229285eccbcdd1ea39a
http://rdf.ncbi.nlm.nih.gov/pubchem/patentinventor/MD5_8802b46aeb854389ffe857282678f93f
http://rdf.ncbi.nlm.nih.gov/pubchem/patentinventor/MD5_91ace6c53fefe6d1ee98c6258dd599cf
publicationDate 2022-02-08-04:00^^<http://www.w3.org/2001/XMLSchema#date>
publicationNumber KR-20220016002-A
titleOfInvention Systems and methods for improving planarity using selective atomic layer etching (ale)
abstract A method is provided for planarizing a patterned substrate in a spatial atomic layer processing system including a rotating platen. A patterned substrate may generally include features having higher areas and lower areas. To planarize the patterned substrate, or to reduce the height difference between the higher and lower regions, the surface of the patterned substrate is exposed to a precursor gas while the rotating platen rotates at a high rotational speed to further increase the height of the features. A selective atomic layer etching (ALE) process for desirably forming a modified layer on a high area is disclosed. By preferably forming a modification layer over the higher regions of the feature, and subsequently removing the modification layer, the selective ALE process described herein can be applied to the higher regions of the feature until the desired planarization of the feature is achieved. Etching preferably reduces the difference in height between the higher and lower regions of the one or more features.
priorityDate 2020-07-31-04:00^^<http://www.w3.org/2001/XMLSchema#date>
type http://data.epo.org/linked-data/def/patent/Publication

Incoming Links

Predicate Subject
isDiscussedBy http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID16682925
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID57370846
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID31170
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID457160489
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID426223290
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID414815201
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID419557764
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID410493944
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID24404
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID123185
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID6547
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID419559532
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID419516414
http://rdf.ncbi.nlm.nih.gov/pubchem/substance/SID414859283
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID6335325
http://rdf.ncbi.nlm.nih.gov/pubchem/compound/CID16213786

Total number of triples: 45.